查看: 245|回复: 0

lattice公司的i2c核rd1006(包含testbench测试模块)

[复制链接]

该用户从未签到

发表于 2021-11-23 11:47:56 | 显示全部楼层 |阅读模式
分享到:
这个比论坛上的ip要完整也好用的多,这么好的资源居然没人发。

eetop.cn_RD1006--I2CIP.rar

200.71 KB, 下载次数: 3

回复

使用道具 举报

您需要登录后才可以回帖 注册/登录

本版积分规则

关闭

站长推荐上一条 /4 下一条



手机版|小黑屋|与非网

GMT+8, 2024-9-21 17:53 , Processed in 0.126248 second(s), 17 queries , MemCache On.

ICP经营许可证 苏B2-20140176  苏ICP备14012660号-2   苏州灵动帧格网络科技有限公司 版权所有.

苏公网安备 32059002001037号

Powered by Discuz! X3.4

Copyright © 2001-2024, Tencent Cloud.