查看: 207|回复: 0

Bus protocol(AXI4) SystemVerilog Assertions Checker

[复制链接]

该用户从未签到

发表于 2021-11-18 14:43:19 | 显示全部楼层 |阅读模式
分享到:
Bus protocol(AXI4) Systemverilog Assertions Checker

arm 的完整AXI4 SVA checker ,有完整源代码和说明手册


eetop.cn_BP063-BU-01000-r0p0-00rel0.zip

424.78 KB, 下载次数: 0

回复

使用道具 举报

您需要登录后才可以回帖 注册/登录

本版积分规则

关闭

站长推荐上一条 /4 下一条



手机版|小黑屋|与非网

GMT+8, 2024-9-22 01:42 , Processed in 0.108634 second(s), 16 queries , MemCache On.

ICP经营许可证 苏B2-20140176  苏ICP备14012660号-2   苏州灵动帧格网络科技有限公司 版权所有.

苏公网安备 32059002001037号

Powered by Discuz! X3.4

Copyright © 2001-2024, Tencent Cloud.