查看: 1060|回复: 0

vim auto script for verilog & RtlTree - (like Emacs, Verdi)

[复制链接]

该用户从未签到

发表于 2021-11-13 15:19:28 | 显示全部楼层 |阅读模式
分享到:
description:

Support Automatic functions like Emacs for verilog hdl
RtlTree work like as Verdi


Feature list:



    1) Auto Argument (the same as Emacs)         -- shortcut key<Shift+F1>
    2) Auto Define Signals                                  -- shortcut key<Shift+F2>
    3) Auto Instance (power than Emacs)            -- shortcut key<Shift+F3>
    4) Auto unit delay "<=" to "<= #1"
    5) Auto Template                                      -- create a new .v file with auto template
    7) Auto comment                                       -- shortcut key <F2> and <F3>
    8) DrawTimingWave                                  -- only used for gvim
    9) ShowInst                                                 -- shortcut key<Ctrl+J>
  10)GotoInst                                                 -- shortcut key<Ctrl+K>,    go back shortcut key is <Ctrl+T>
11)RtlTree                                                   -- start with cmd :RtlTree,  user can map key <F4>, such as: map <F4> :RtlTree<CR> in your .vimrc

install details:
      put the automatic.vim in .vim/plugin/

eetop.cn_automatic.rar

40.9 KB, 下载次数: 1

回复

使用道具 举报

您需要登录后才可以回帖 注册/登录

本版积分规则

关闭

站长推荐上一条 /4 下一条



手机版|小黑屋|与非网

GMT+8, 2024-9-22 02:06 , Processed in 0.126130 second(s), 16 queries , MemCache On.

ICP经营许可证 苏B2-20140176  苏ICP备14012660号-2   苏州灵动帧格网络科技有限公司 版权所有.

苏公网安备 32059002001037号

Powered by Discuz! X3.4

Copyright © 2001-2024, Tencent Cloud.