查看: 1484|回复: 0

VCS中利用Makefile脚本仿真详细图解(附脚本和源代码)适合...

[复制链接]

该用户从未签到

发表于 2021-11-13 15:10:04 | 显示全部楼层 |阅读模式
分享到:

VCS中利用Makefile脚本仿真

1.可仿真的verilog文件

通常是写一个module.v文件,然后写一个test benchmodule_tb.v文件,该文件中例化module。可仿真的Verilog文件是指test benchmodule_tb.v

VCSmodule_tb.v除了声明timescale,初始化信号输入和例化module外,还需要加上波形输出函数$vcdpluson()和仿真时间控制函数$finish(),如下;


本文先写一个简单的tb,先不包括例化module,仅仅只定义几个reg信号。下面为gen_circulation.v,其基本功能是生成多个重复波形,仿真时间为100ns,该文件在附件的gen_circulation文件夹中。具体可参考《Verilog hdl设计与实战》第10章。


2、通过Makefile脚本进行编译和仿真

采用Makefile脚本可以方便在VCS中的编译compile和仿真simulate以及清除文件clean,具体内容可以查看附件gen_circulation/Makefile。这里只讲解操作。

1)首先确认安装了VCS软件,然后在gen_circulation文件夹中打开terminal,输入make compile f=gen_circulation.v,然后可以看到下图编译成功的信息。通过makefile实际上输入的命令为vcs -sverilog -debug_all +notimingcheck +nospecify+v2k -l com.log gen_circulation.v,这些都可以不理会。


2)然后在terminal中输入make simulate,可以有如下仿真成功的信息。通过makefile实际上输入的命令为./simv -l sim.log +notimingcheck +nospecify,这些也可以不理会。

注:前面的make compile f=
gen_circulation.v make simulate两步可以合为一步执行make all f=
gen_circulation.v


3)最后terminal中输入dve,打开波形查看的界面。


4File à Open Database 选择对应的vcdplus.vpd文件


5)选择a,b,c,右键选择Add to waves à New wave view,这样就显示出了波形


6)现在就可以查看波形。通过Makefile脚本进行编译和仿真的操作过程已结束,后面是一个稍微复杂一点的test bench,即含有module例化的test bench仿真。

7)如果要清空gen_circulation文件夹中仿真过程产生的文件,可以在terminal中输入 make clean
通过makefile实际上输入的命令为rm -rf csrc DVEfiles simv simv.daidir ucli.key VCS*rm -rf *.log *.vpd *.ddc *.svf *.SDF *Synth*Netlist* work vsim* transcript,这些也可以不理会。



3 含有module例化的test bench仿真half_adder

half_adder文件夹下有三个文件half_adder.vhalf_adder_tb.vMakefile,文件内容如下,

half_adder.v


half_adder_tb.v


terminal中输入

make all f=half_adder_tb.v


然后查看波形



4 总结

Makefile脚本能大大简化VCS中的仿真。


附:查看verilog对应的门级结构

VCS中不能查看verilog对应的门级结构,但是DC可以读入verilog文件对应到DC自带的GETCH库,然后打开gui查看门级结构。如果需要综合,则需要添加实际的库文件和时序约束。这里并没有综合,只是映射到DC自带的逻辑库GETCH库上。

1)启动DC

dc_shell -topographical -64bit | tee log


2)读入verilog文件

dc_shell-topo>read_verilog half_adder.v

3)打开gui界面

dc_shell-topo>start_gui

4)查看电路结构



附件为图文版《VCS中利用Makefile脚本仿真详细图解》以及gen_circulation和 half_adder的源文件(包括Makefile脚本)




eetop.cn_VCS中利用Makefile脚本仿真详细图解(附脚本和源代码).zip

306.2 KB, 下载次数: 3

回复

使用道具 举报

您需要登录后才可以回帖 注册/登录

本版积分规则

关闭

站长推荐上一条 /4 下一条



手机版|小黑屋|与非网

GMT+8, 2024-9-22 02:00 , Processed in 0.120111 second(s), 16 queries , MemCache On.

ICP经营许可证 苏B2-20140176  苏ICP备14012660号-2   苏州灵动帧格网络科技有限公司 版权所有.

苏公网安备 32059002001037号

Powered by Discuz! X3.4

Copyright © 2001-2024, Tencent Cloud.