查看: 2708|回复: 0

液晶lcd1602的vhdl源代码

[复制链接]

该用户从未签到

发表于 2008-5-3 11:57:20 | 显示全部楼层 |阅读模式
分享到:
利用FPGA驱动LCD显示中文字符"年"的VHDL程序。
--文件名        :lcd1602.vhd。
--功能          :   FGAD驱动LCD显示中文字符"年"。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity LCD1602 is
   Port ( Clk : in std_logic;   --状态机时钟信号,同时也是液晶时钟信号,其周期应该满足液晶数据的建立时间
   Reset:in std_logic;  
          LCD_RS : out std_logic; --寄存器选择信号
          LCD_RW : out std_logic; --液晶读写信号
          LCD_EN : out std_logic; --液晶时钟信号
    LED     : out std_logic;
          LCD_Data : out std_logic_vector(7 downto 0));   --液晶数据信号
end LCD1602;
architecture Behavioral of LCD1602 is
   type state is (set_dlnf,set_cursor,set_dcb,set_cgram,write_cgram,set_ddram,write_LCD_Data);
   signal Current_State:state;
   type ram2 is array(0 to 7) of std_logic_vector(7 downto 0);
constant cgram:ram2:=(
    ("00001000"),
    ("00001111"),
    ("00010010"),
    ("00001111"),
    ("00001010"),
    ("00011111"),
    ("00000010"),
    ("00000010"));--年字符数据存储器                          
   signal Clkk     : std_logic;
   signal Count    : std_logic_vector(20 downto 0);
   signal Clk_Out : std_logic;
   signal LCD_Clk : std_logic;
begin
   LCD_EN
回复

使用道具 举报

您需要登录后才可以回帖 注册/登录

本版积分规则

关闭

站长推荐上一条 /3 下一条



手机版|小黑屋|与非网

GMT+8, 2025-1-8 06:08 , Processed in 0.108058 second(s), 15 queries , MemCache On.

ICP经营许可证 苏B2-20140176  苏ICP备14012660号-2   苏州灵动帧格网络科技有限公司 版权所有.

苏公网安备 32059002001037号

Powered by Discuz! X3.4

Copyright © 2001-2024, Tencent Cloud.