查看: 1560|回复: 0

Arty A7:FPGA开源创客开发板

[复制链接]

该用户从未签到

发表于 2016-7-21 14:59:25 | 显示全部楼层 |阅读模式
分享到:
Arty是一款基于Artix-7™ FPGA而设计的,打开即用的开发平台。Arty具有定制的MicroBlaze™处理器,可针对几乎任何嵌入式应用项目,因此该开发板也成为许多用户所首选的处理平台。与其它单板计算机所不同的是,Arty绝不仅仅是一个功能单一的处理外设。它自带功能强大的通信配置,具有包括UART,SPI,IIC和以太网MAC接口;凭借板载的12个32位定时器,它还可以被用作一个精密的计时器;此外,由于附带有广受欢迎的Arduino™ R3和Digilent Pmod™扩展接头,Arty毫无疑问将成为您的工具箱中各类项目适用性最广泛的一款工具。产品特点:Xilinx Artix-35T FPGA:
           
  • 在5200片中的33,280个逻辑单元(每片包含四个6输入LUT和8个触发器)       
  • 1800Kbits的快速block RAM       
  • 5个时钟管理器,每一个带有锁相回路(PLL)       
  • 90个DSP逻辑片       
  • 超过450MHz的内部时钟速度       
  • 片上模拟数字转换器(XADC)       
  • 可编程的JTAG和Quad-SPI闪存
系统特点:
           
  • 具有16位总线@667MHz的256MB DDR3L       
  • 16MB的Quad-SPI闪存       
  • USB-JTAG编程电路(需要USB Micro电线,产品中不附赠)。       
  • 由USB或任意7V-15V的电源供电
系统连接:
           
  • 10/100Mbps以太网       
  • USB-UART桥接
交互感应装置:
           
  • 4个开关       
  • 4个按钮       
  • 1个重置按钮       
  • 4个LED       
  • 4个RGB LED
扩展接口:
           
  • 4个Pmod连接器       
  • Arduino/chipKIT扩展板连接器
程序设计:
           
  • 包括Vivado设计套件的免费许可密钥

Arty Board Artix-7 FPGA开源创客开发板(DIGILENT官方直销) 用户手册.pdf

1.47 MB, 下载次数: 0

回复

使用道具 举报

您需要登录后才可以回帖 注册/登录

本版积分规则

关闭

站长推荐上一条 /4 下一条



手机版|小黑屋|与非网

GMT+8, 2024-9-22 08:28 , Processed in 0.113373 second(s), 17 queries , MemCache On.

ICP经营许可证 苏B2-20140176  苏ICP备14012660号-2   苏州灵动帧格网络科技有限公司 版权所有.

苏公网安备 32059002001037号

Powered by Discuz! X3.4

Copyright © 2001-2024, Tencent Cloud.